摘要: verilog中的有符号数运算有符号数的计算:若有需要关于有号数的计算,应当利用Verilog 2001所提供的signed及$signed()机制。Ex:inputsigned [7:0] a, b;outputsigned [15:0] o;assign o = a * b;orinput[7:... 阅读全文
posted @ 2015-08-04 16:39 lianjiehere 阅读(2293) 评论(0) 推荐(0) 编辑