摘要: 这个实例是为了显示如何通过重组路径来对设计时序进行优化,首先我们来看原书给出的为优化的实例代码。以下是优化之前的代码片段:module randomlogica(output reg [7:0] Out,input [7:0] A,B,C,input clk,input Cond1,Cond2);a... 阅读全文
posted @ 2014-10-21 09:49 lianjiehere 阅读(975) 评论(0) 推荐(0) 编辑
摘要: 在quartus的设置里有,物理综合综合优化,register retiming,是针对网表的优化。我们知道在组合逻辑之间插入寄存器可以优化设计的时序,而如果只是调整寄存器的位置来优化实现,这种技术被称为寄存器平衡。《高级设计》一书中提供了一个简单代码实例用以展示这种技术。这里我们需要注意的是本文介... 阅读全文
posted @ 2014-10-21 09:42 lianjiehere 阅读(637) 评论(0) 推荐(0) 编辑
摘要: 在设计时通过选取不同的寄存器模型也可以优化组合逻辑在一个给定的器件中,大部分FPGA供应商都提供了各种触发器单元。对于一些给定的逻辑功能,综合工具通常都能采用触发器的置位和复位端口来实现,这样就大大减少了查找表的负担。举例来说,一个设计逻辑电路如图2-11所示。在这个例子中,综合工具就可以选择触发器... 阅读全文
posted @ 2014-10-21 09:25 lianjiehere 阅读(1032) 评论(0) 推荐(0) 编辑