2019年11月30日
摘要: 已知8位数控分频器。当输出方波的正负脉宽的宽度分别由两个8位输入数据控制时: 顶层程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wide isport(clk1:in std_l 阅读全文
posted @ 2019-11-30 16:52 李好123 阅读(835) 评论(0) 推荐(0) 编辑
摘要: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号实现不同的分频比,即可实现设置数的分频计数器。 程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dvf ispo 阅读全文
posted @ 2019-11-30 15:14 李好123 阅读(1071) 评论(0) 推荐(0) 编辑