2019年11月10日
摘要: 实体 实体(entity)用来描述电路的所有输入/输出引脚,其语法结构如下: entity entity_name is port( port_name:signal_mode signal_type; port_name:signal_mode signal_type; ....... ); en 阅读全文
posted @ 2019-11-10 19:18 李好123 阅读(1629) 评论(0) 推荐(0) 编辑
摘要: 库的声明 使用一个库之前,需要首先对库进行声明。经过声明之后,在设计中就可以调用库中的代码了。 库的声明格式: library library_name; use library_name.package_name.package_parts; 其中,library和use是vhdl保留的关键字。常 阅读全文
posted @ 2019-11-10 13:16 李好123 阅读(1231) 评论(0) 推荐(0) 编辑
摘要: VHDL是一种硬件描述语言,它可以对电子电路和系统的行为进行描述。 制定了ieee.1164库。 使用VHDL语言描述的电路,可以进行综合和仿真。 VHDL代码3个基本组成部分:库(library)声明、实体(entity)和构造体(architecture)。 库(library)声明:列出了当前 阅读全文
posted @ 2019-11-10 13:04 李好123 阅读(2379) 评论(0) 推荐(0) 编辑
摘要: 数码显示器 数码管显示原理: 程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity smg is port( a:in std_logic_vector(3 downto 0) y 阅读全文
posted @ 2019-11-10 09:24 李好123 阅读(482) 评论(0) 推荐(0) 编辑