VHDL库的声明

库的声明

  使用一个库之前,需要首先对库进行声明。经过声明之后,在设计中就可以调用库中的代码了。

库的声明格式:

library library_name;

use library_name.package_name.package_parts;

其中,library和use是vhdl保留的关键字。常用的3个库集:

ieee.std_logic_1164(来自ieee库)

standard(来自std库)

work(来自work库)

声明方式:

library ieee;

use ieee.std_logic_1164.all;

 

library std;

use std.standard.all;

其中,分号表示一个语句和声明的结束。当出现"--"时,表示后续文字为注释。

库的声明通常需要使用两条语句,以ieee库的声明为例,第一个语句library ieee声明设计中使用ieee库;第二个语句use

ieee.std_logic_1164.all声明使用ieee库的std_logic_1164包集中的所有内容。

std库和work库在程序中都是默认的,因此不再需要对它们进行声明;只有在ieee库在使用前需要进行明确的声明。 

 

 

posted on 2019-11-10 13:16  李好123  阅读(1228)  评论(0编辑  收藏  举报