2020年1月6日
摘要: DDS即直接数字合成器,是一种新型的频率合成技术。具有较高的频率分辨率,可以实现快速切换,并且在频率改变时保持相位 的连续性,很容易实现频率、相位和幅度的数字控制。因此,在现代电子系统及设备的信号源设计中。尤其是在通信领域,应用尤为广泛。 图8.1所示为DDS基本结构,由相位累加器、相位调制器、正弦 阅读全文
posted @ 2020-01-06 20:04 李好123 阅读(660) 评论(0) 推荐(0) 编辑
  2019年12月2日
摘要: 正弦信号发生器的结构由3部分组成: 1、计数器或地址信号发生器,要根据ROM大小来确定地址发生器宽度。(其实验选择6位地址信号发生器给ROM) 2、正弦信号数据存储ROM(地址宽度6位,数据宽度8位),包含64个字的完整正弦波数据(1个完整周期) 3、8位D/A模块(采用TLC5602超高频数模转换 阅读全文
posted @ 2019-12-02 18:12 李好123 阅读(5022) 评论(0) 推荐(0) 编辑
  2019年12月1日
摘要: 信号发生器要求: 信号发生器程序: library ieee;use ieee.std_logic_1164.all;entity signal_gen1 isport(clk:in bit;wave:out bit);end signal_gen1;architecture bhv of sign 阅读全文
posted @ 2019-12-01 17:44 李好123 阅读(882) 评论(0) 推荐(0) 编辑
摘要: 有限状态机(FSM)是时序逻辑电路设计创建的特殊模型技术。 米里(摩尔)型状态机: 组合逻辑电路包含两部分:一部分是pr_stste(present state,当前状态),另一部分是实际的外部输入信号。 输出信号:nx_state(next state,下一个状态)和实际的电路输出信号。 时序逻辑 阅读全文
posted @ 2019-12-01 16:33 李好123 阅读(594) 评论(0) 推荐(0) 编辑
  2019年11月30日
摘要: 已知8位数控分频器。当输出方波的正负脉宽的宽度分别由两个8位输入数据控制时: 顶层程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wide isport(clk1:in std_l 阅读全文
posted @ 2019-11-30 16:52 李好123 阅读(835) 评论(0) 推荐(0) 编辑
摘要: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号实现不同的分频比,即可实现设置数的分频计数器。 程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dvf ispo 阅读全文
posted @ 2019-11-30 15:14 李好123 阅读(1070) 评论(0) 推荐(0) 编辑
  2019年11月29日
摘要: 分频器的实质上就是一个计数器。 带复位功能的计数器: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ripple isport( 阅读全文
posted @ 2019-11-29 16:44 李好123 阅读(1112) 评论(0) 推荐(0) 编辑
  2019年11月26日
摘要: 在原有已定义数据类型基础上加上一些约束条件,可以定义该数据类型的子类型。通过定义新的数据 类型可以达到同样的目的,VHDL不允许不同类型的数据之间直接进行操作运算,而某个数据类型的子类型 则可以和原有类型数据直接进行操作运算。 例: SUBTYPE natural is integer range 阅读全文
posted @ 2019-11-26 16:22 李好123 阅读(429) 评论(0) 推荐(0) 编辑
摘要: 用户自定义的数据类型:integer(整数)和enumerated(枚举)。 用户定义的整数(integer)类型: TYPE integer is range -2147483647 to +2147483647; --用户定义的整数类型,与预定义的整数类型是相同的。 TYPE natural i 阅读全文
posted @ 2019-11-26 16:01 李好123 阅读(1208) 评论(0) 推荐(0) 编辑
  2019年11月12日
摘要: 1、预定义的数据类型 std库的standard包集:定义了位(BIT)、布尔(Boolean)、整数(integer)和实数(real)数据类型。 ieee库的std_logic_1164包集:定义了std_logic和std_ulogic数据类型。 ieee库的std_logic_arith包集 阅读全文
posted @ 2019-11-12 20:59 李好123 阅读(7007) 评论(0) 推荐(0) 编辑