摘要: 本文章详细介绍了怎样用ModelSim仿真Verilog,虽然只是很简单的一个二分频器的例子,但却正式小白入门所需要的。本教程以ModelSim SE 10.4为例1. 新建工程file->new->project,输入工程名 例如half_clk2. 新建文件新建二分频器模块文件和testbenc... 阅读全文
posted @ 2017-06-12 19:51 lepeCoder 阅读(1481) 评论(0) 推荐(0) 编辑