我的新博客
摘要: 1: // clock divider 2: `timescale 1ns/1ps 3: module clgen 4: #(parameter DIVIDER_LEN = 8) 5: ( 6: input wire clk_in, 7: input wire rst, 8: ... 阅读全文
posted @ 2014-08-10 11:35 Leon#0534 阅读(258) 评论(0) 推荐(0) 编辑

我的新博客

专注天线学习,欢迎交流 yangli0534@gmail.com - 创建于 2010年

我永远是茫茫EE领域的一名小学生。