作者:西南交通大学研究生导师邸志雄博士

1、Synopsys University EDA课程课程链接:https://www.synopsys.com/community/university-program/curriculum-programs.html.

Synopsys University计划提供了有关IC设计和EDA开发的本科与硕士课程教学培养计划。每个完整学期的课程都包含15周的材料,包括课程提纲,讲座,实验室,作业和考试。

Synopsys大学计划会员可以从网站下载到课程相关资料。下图为Synopsys University EDA课程列表。即使不是Synopsys大学计划会员,也可以了解进入EDA算法开发行业需要准备或者自学哪些课程。

 

 

2、知名课程推荐

(1) EE 382V: VLSI Physical Design Automation (Spring 2015)课程主页: http://users.ece.utexas.edu/~dpan/EE382V_PDA/.授课教师主页 : http://users.ece.utexas.edu/~dpan/.

 

 (2) CSE 245 Circuit Simulation, Winter 2015, University of California, San Diego课程主页:http://cseweb.ucsd.edu/classes/wi15/cse245-a/授课教师主页:https://cseweb.ucsd.edu/~kuan/

 

 (3)ECE 5775: High-Level Digital Design Automation (Fall'13, '14, '15, '16, '17, '18)课程主页 : https://www.csl.cornell.edu/courses/ece5775/授课教师主页 :https://zhang.ece.cornell.edu/news.html

 

 (4)EECS219B Logic Synthesis for Hardware Systems,Spring 2000http://people.eecs.berkeley.edu/~brayton/courses/219b/.

 

 授课教师主页 : http://people.eecs.berkeley.edu/~brayton/courses/219b/

 

 (5)ECE6133: Physical Design Automation of VLSI Systems, Spring 2020https://limsk.ece.gatech.edu/course/ece6133/授课教师主页Sung Kyu Lim's Homepage :  http://limsk.ece.gatech.edu/

 

 (6)超大规模集成电路CADI-理论 - 伊利诺伊大学香槟分校
课程主页 【公开课】超大规模集成电路CADI-理论 - 伊利诺伊大学香槟分校(VLSI CAD Part I Logic,英文授课及字幕)_哔哩哔哩 (゜-゜)つロ 干杯~-bilibili.https://www.bilibili.com/video/BV1rE411N7sD.

 

 3、相关著作

  1. S. K. Lim, Practical Problems in VLSI Physical Design Automation, Springer, 2008
  2. C. J. Alpert, D. P. Mehta, S. S. Sapatnekar, Handbook of Algorithms for Physical Design Automation, Auerbach Publications, 2008
  3. 徐宁 等. 超大规模集成电路物理设计理论与算法. 清华大学出版社, 2009年.
  4. 陈中宪(著), 喻文健(译). 超大规模集成电路互连线分析与综合. 2008年.
  5. Venky Ramachandran等. 超大规模集成电路布线技术. 清华大学出版社, 2018年.
  6. Andrew B.Kahng 等著.于永斌,张徐亮,徐宁 等 译. 超大规模集成电路物理设计: 从图分割到时序收敛. 机械工业出版社. 2014年.
  7. FPGA原理和结构. [日] 天野英晴 著,赵谦 译. 人民邮电出版社,2019年.
  8. V. Betz, J. Rose, and A. Marquardt. Architecture and CAD for deep-submicron FPGAs. Kluwer Academic Publishers, February 1999.
  9. Elfadel, Ibrahim (Abe) M., Boning, Duane S., Li, Xin (Eds.) . Machine Learning in VLSI Computer-Aided Design. Springer, 2019.
  10. Giovanni De Micheli, Synthesis and Optimization of Digital Circuits, McGraw-Hill, 1994.

4、学术和学科竞赛国外有DAC/ICCAD/ISPD/TAU等。以下是国内相关的竞赛:(1)集成电路EDA设计精英挑战赛,网址:http://eda.icisc.cn/。ps:国内唯一一个专注于EDA算法领域的大赛,奖金丰厚,大赛官方公众号“集成电路EDA设计精英挑战赛”。

 

 (2)全国大学生集成电路创新创业大赛(华大九天杯),网址:http://univ.ciciec.com/。ps:国家级A类赛事。

 

 (3)复微杯大学生电子设计大赛(主要是FPGA EDA工具相关算法题)。ps:奖金丰厚,如果想了解更多,可以关注比赛官方公众号“复微杯大学生电子设计大赛”。(4)EDAthon(香港高校主办),网址:https://sites.google.com/view/ceda-hk/edathon-2020.5、开源EDA工具

  1. 数字仿真工具iverilog、verilator、GTKWave

  2. 数字电路逻辑综合工具YoSys

  3. 数字芯片布局布线工具Qrouter

  4. 开源集成平台OpenROAD

  5. FPGA EDA工具Verilog to Routing (VTR)

  6. 国产EDA公司芯华章推出的EpicSim

 

posted on 2020-12-05 23:44  春风一郎  阅读(2034)  评论(0编辑  收藏  举报