2020年3月7日

8421BCD转余3码Verilog HDL的设计(1)

摘要: 近期阅读Verilog HDL高级数字设计(第二版)中,遇到了串行比特流BCD码转余3码转换器的设计,比较独特的是: (1)该转换器的输入为1位串行比特流,输出也为1位串行比特流。 BCD码与余三码的转换关系如下: 8421BCD码=余3码+0011 表1 8421BCD码-余3码转换关系(4位无符 阅读全文

posted @ 2020-03-07 10:02 leejiac 阅读(3710) 评论(0) 推荐(0) 编辑

导航