摘要: 仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 对于WLF波形日志文件,只要我们 阅读全文
posted @ 2016-11-15 10:41 鱼游时光 阅读(4999) 评论(0) 推荐(0) 编辑