lanlingshan

 

2012年3月1日

Quartus宏模块的应用lpm_ram_dp(双端口ram)

摘要: Altera官网提供的时序图:Altera官网提供的模块:仿真程序:lpm_ram_dp_testbench 1 `timescale 1ns/1ns 2 `define clk_cycle 5 3 module testbench; 4 reg [15:0]data; 5 reg [6:0]wraddress; 6 reg wren; 7 reg [6:0]rdaddress; 8 reg rden; 9 reg wrclock;10 reg rdclock;11 12 reg clk_sys;13 wire [15:0]q;14 always #`clk_cycle clk_sys=~c. 阅读全文

posted @ 2012-03-01 14:04 lanlingshan 阅读(2729) 评论(0) 推荐(0) 编辑

导航