2013年12月19日

Nios II系统在Quartus II编译后Timing requirements for slow timing model timing analysis were not met. See Report window for details

摘要: 来自http://wenku.baidu.com/link?url=h0Z_KvXD3vRAn9H8mjfbVErVOF_Kd3h-BZSyF1r4sEYj3ydJGEfBHGY1mvntP4HDuFj9JOVGiFIyto2rs-mRUOyK-R7i5MTrtPc2MjccPCe前言:在DE2-70,随便一个Nios II系统在Quartus II编译后,几乎都会遇到1个critical warning:“Critical Warning: Timing requirements for slow timing model timing analysis were not met. See 阅读全文

posted @ 2013-12-19 20:09 lakeone 阅读(1387) 评论(0) 推荐(0) 编辑

导航