摘要: 最近开始做课程设计,VHDL设计一个中央空调的控制程序。所以开始学习VHDL,在这篇文章里面记录一些自己的笔记,期望对于同样的初学者有些借鉴意义~编写VHDL所需的工具:那自然很是quartus啦,不过除了编译和运行的软件以外,还向大家推荐一个文本编辑器sublime text 写起来很舒服的 不过需要安装VHDL插件具体的安装方法可 google sublime text 插件,随后install里面搜索VDHL即可~接下来是VHDL的简单写法~首先VHDL所需包含的库library ieee;--库声明,声明工程中用到的库,这里声明的是IEEE库use ieee.std_logic_116 阅读全文
posted @ 2013-09-03 17:18 kururucn 阅读(341) 评论(0) 推荐(0) 编辑
摘要: 最近开始做课程设计,VHDL设计一个中央空调的控制程序。所以开始学习VHDL,在这篇文章里面记录一些自己的笔记,期望对于同样的初学者有些借鉴意义~编写VHDL所需的工具:那自然很是quartus啦,不过除了编译和运行的软件以外,还向大家推荐一个文本编辑器sublime text 写起来很舒服的 不过需要安装VHDL插件具体的安装方法可 google sublime text 插件,随后install里面搜索VDHL即可~接下来是VHDL的简单写法~首先VHDL所需包含的库library ieee;--库声明,声明工程中用到的库,这里声明的是IEEE库use ieee.std_logic_116 阅读全文
posted @ 2013-09-03 17:17 kururucn 阅读(638) 评论(0) 推荐(0) 编辑