2013年8月23日

每天进步一点点------CRC码的FPGA实现

摘要: 一、CRC码的FPGA实现之一CRC的原理实验目的学习用FPGA设计一个数据通信中常用的数据检错模块——循环冗余检验CRC模块,熟悉理解CRC的检错原理。实验原理循环冗余检验(CRC)算法原理(一)基本原理循环冗余检验(Cyclic Redundancy Check),是一种纠错能力很强,使用非常广泛的数据传输差错检错方法,是在串行通信中广泛采用的检验编码。CRC校验码的基本思想是利用线性编码理论,在发送端根据要传送的k位二进制码序列,以一定的规则产生一个校验用的监督码(既CRC码)r位,并附在信息后边,构成一个新的二进制码序列数共(k+ r)位,最后发送出去。在接收端,则根据信息码和CRC码 阅读全文

posted @ 2013-08-23 09:52 空气微凉 阅读(2084) 评论(0) 推荐(0) 编辑

导航