每天进步一点点------基础实验_05_译码器 :3位输入8位输出译码器

 1 /*********************************************************************************
 2 * Company                    : 
 3 * Engineer                    : 空气微凉
 4 * 
 5 * Create Date                : 00:00:00 22/03/2013 
 6 * Design Name                : 
 7 * Module Name                :         
 8 * Project Name                :  
 9 * Target Devices            : 
10 * Tool versions            : 
11 * Description                :  
12 *                       http://www.cnblogs.com/kongqiweiliang/             
13 * Dependencies                : 
14 *
15 * Revision                    : 
16 * Revision                    : 0.01 - File Created
17 * Additional Comments    : 基础实验_05_译码器 :3位输入8位输出译码器 
18 ********************************************************************************/
19 `timescale 1ns/1ps
20 `define    UD  #1
21 /*******************************************************************************/
22 module DECODE_3_8    
23 ( 
24     //Interface package
25     input                        [2:0]        iDAT        ,//
26     output  reg                [7:0]        oCODE         //     
27 );  
28 //-------------------------------------------------------------------------------
29 always@(*)begin
30     case(iDAT)
31         3'b000  : oCODE = 8'b0000_0001;    
32         3'b001  : oCODE = 8'b0000_0010;    
33         3'b010  : oCODE = 8'b0000_0100;    
34         3'b011  : oCODE = 8'b0000_1000;    
35         3'b100  : oCODE = 8'b0001_0000;    
36         3'b101  : oCODE = 8'b0010_0000;    
37         3'b110  : oCODE = 8'b0100_0000;    
38         3'b111  : oCODE = 8'b1000_0000;    
39         default : oCODE = 8'bz;    
40     endcase
41 end
42 //-------------------------------------------------------------------------------
43 endmodule 

 

posted on 2013-08-08 18:17  空气微凉  阅读(387)  评论(0编辑  收藏  举报

导航