上一页 1 ··· 9 10 11 12 13
摘要: 前言 EDA发展的趋势是自动化,使用脚本自动化仿真可以减少不必要的时间浪费。 流程 在windows下新建批处理脚本bat文件(linux下可用shell脚本或者其他,注意给脚本运行权限即可:chmod +x name.sh); 批处理文件或者脚本中内容只有一句话: vsim -do sim.do 阅读全文
posted @ 2017-08-09 17:08 小翁同学 阅读(1647) 评论(0) 推荐(0) 编辑
摘要: 前言 TCL脚本语言在EDA工具中使用频繁,本文主要介绍使用TCL脚本文件进行引脚分配,避免手动分配以及分配出错; 流程 1.准备好你的TCL脚本文件,举个栗子(脚本文件内容): 2.在Quartus软件选择tools下的Tcl Scripts选项: 运行即可; 以上。 阅读全文
posted @ 2017-08-09 16:46 小翁同学 阅读(1426) 评论(0) 推荐(0) 编辑
上一页 1 ··· 9 10 11 12 13