摘要: 软件版本: Modelsim10.4SE ISE14.7 仿真IP:时钟管理IP(clock wizard) 流程: 1.对于Modelsim10.4SE,并不自带Xilinx家的仿真库,因此首先需要编译Xilinx家的器件仿真库; 仿真库解析: ① secureip库:硬核(HARD IP)仿真( 阅读全文
posted @ 2018-10-18 15:46 小翁同学 阅读(814) 评论(0) 推荐(0) 编辑
摘要: 流程 1.建立好工程后,首先设置综合选项为保留设计的层次结构,方便追查信号。 如下图选项中设置为rebuit/none;none表示不优化设计并保留设计层次,rebuit表示优化设计并保留设计层次。 然后综合你的设计。 一种方法:在源代码待观察信号名前添加(*mark_debug="true"*)综 阅读全文
posted @ 2018-10-18 15:38 小翁同学 阅读(1689) 评论(0) 推荐(0) 编辑
摘要: 前言 vivado中采用TCL脚本语言来作为其命令解释语言。这句话是很重要的,发散下,bat脚本加tcl就很有用了。 像我这种懒鬼,调试和前期验证阶段,可能需N个demo工程,老眼昏花的时候手动创建工程真是对内心的极大考验。 所以,这种繁琐的可重复的工作当然是使用脚本来完成,达到一劳永逸的效果。 流 阅读全文
posted @ 2018-10-18 15:34 小翁同学 阅读(6271) 评论(0) 推荐(1) 编辑
摘要: 前言 使用场景:在使用In system debug时需要使用按键触发查看相关信号,但不想用板子上的按键。 VIO:Virtual input output,即虚拟IO。 主要用作虚拟IO使用;VIO的输出可以控制模块的输入,VIO的输入可以显示模块的输出值。 连接如下图所示: 假设有一个模块的复位 阅读全文
posted @ 2018-10-18 15:21 小翁同学 阅读(19947) 评论(0) 推荐(1) 编辑