上一页 1 ··· 3 4 5 6 7 8 下一页

【转载】SSRAM、SDRAM和Flash简要介绍

摘要: 本文转载至:http://www.cnblogs.com/CalmBright/archive/2009/07/19/1526569.html,谢谢原作者的辛勤劳作。 Abstract 在用NIos II 调试sdram遇到了其容量计算的问题,现介绍如下 Introduction 问题1:什么是DRAM、SRAM、SDRAM? 答:名词解释如下 DRAM--------动态随即存取器,需要不断的... 阅读全文
posted @ 2010-06-14 14:42 FPGA黑金开发板 阅读(1280) 评论(0) 推荐(0) 编辑

【连载】【FPGA黑金开发板】NIOSII那些事儿--基于AVALON总线的IP定制(十七)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 NIOS II是一个建立在FPGA上的嵌入式软核处理器,除了可以根据需要任意添加已经提供的外设外,用户还可以通过定制用户逻辑外设和定制用户指令来实现各种应用要求。这节我们就来研究如何定制基于Avalon总线的用户外设。SOPC Builder提供了一个元件编辑器,通过... 阅读全文
posted @ 2010-06-05 18:53 FPGA黑金开发板 阅读(6533) 评论(10) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOSII那些事儿--对寄存器结构体的详细解析(十六)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 这一节,我们针对大家提出的有关定义寄存器结构体的问题进行解析。在NIOS II软件开发过程中,如果使用我们提出的寄存器操作方式的话,首先需要定义一个寄存器结构体,之所以这样做是为了在软件书写过程中操作方便,更是为了增强程序的可读性。我们就拿UART来举例说明。 首先,我们看... 阅读全文
posted @ 2010-05-27 17:29 FPGA黑金开发板 阅读(3391) 评论(11) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--FLASH实验(十五)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 这一节,我们来讲一讲如何使用FLASH。在嵌入式系统中,Flash 是最常用组件之一。许多使用过 FLASH的都知道,FLASH 的特点是“读来容易写来难”。通常情况,我们是可以直接读出 FLASH中的内容的,但如果要写入数据,就要发送一长串命令,... 阅读全文
posted @ 2010-05-25 19:02 FPGA黑金开发板 阅读(4086) 评论(5) 推荐(1) 编辑

quartus与orcad的斗争,orcad胜

摘要: 今天重装系统后开始安装软件,quaruts和orcad是我电脑必装的软件。以前没发现有什么问题,可是今天却很不顺利,orcad一直安装不上,报错如下Microsoft Visual C++ Runtime libraryRuntime Error!Program :D:\Cadence\SPB_15.7\tools\capture\capture.exeR6034An application ha... 阅读全文
posted @ 2010-05-23 22:58 FPGA黑金开发板 阅读(1513) 评论(2) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--NIOS II下关于无法进行寄存器方式操作PIO的问题解析(十四)

摘要: FPGA黑金开发板 by AVIC(马瑞) is licensed under a Creative Commons 署名-相同方式共享 2.5 中国大陆 License. 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 最近得到大家的反馈,说用寄存器直接映射的方法不好用,点亮一个LED都不行。今天调试我的改版核心版,... 阅读全文
posted @ 2010-05-15 01:10 FPGA黑金开发板 阅读(5063) 评论(16) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--如何将程序下载到EPCSX中(十三)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 这一节,应网友的要求,我们来讲解如何将FPGA配置文件和NIOS的程序下载到EPCSx(x为1,4,16…)里面。首先说几句,之所以我们要将程序下载到EPCSX中,而不下载到并行FLASH中,是因为我们可以将并行的FLASH去掉,这样就可以节省32根引脚... 阅读全文
posted @ 2010-05-09 00:04 FPGA黑金开发板 阅读(6137) 评论(9) 推荐(2) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--NIOS II 常见问题(FAQ)

摘要: 为了帮助初学者快速入门NIOS II,在此建立NIOS II FAQ,希望大家把自己遇到的问题提出来,然后在这里总结起来,以帮助以后遇到同样问题的人。 首先需要声明一点,下面部分问题来自网络,如果版权问题,请及时通知,我将会将其删除 在此提几点要求和规定:1.此贴是NIOS II FAQ,所以不收录其他相关内容; 2.大家通过回帖方式进行提问,如果有其他人可以解决,也是通过回帖方式进行解决;3.问... 阅读全文
posted @ 2010-05-04 23:56 FPGA黑金开发板 阅读(19885) 评论(47) 推荐(8) 编辑

BF531 DSP核心板

摘要: 大家都知道,BF531的仿真器不管是官方的,还是民间的,都很贵。所以我就使用变通的方法,于是这个小玩意就诞生了。优点是廉价,整个下载系统成本大概在 20 元左右,速度嘛,马马虎虎,每秒钟大概是 3/40k。使用也挺方便的。usb即插即用。当然缺点就是不能调试,因为我编写51、arm等都不调试的,所以这个问题对我来讲无所谓啦。一、资源介绍: 严格的讲,这块是一个 BF531 最小系统,定位为&ldq... 阅读全文
posted @ 2010-05-04 00:50 FPGA黑金开发板 阅读(2498) 评论(4) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--SDRAM实验(十二)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 一、简介 这一节,我们来聊聊SDRAM吧。作为NIOS系统中最重要的一个外部器件,它担任着重要的角色,大家对它也应该很熟悉。每次上电的时候,FPGA都会把FLASH中的程序送到SDRAM中运行,之所以这样来做就是因为它的速度很快,但它掉电是要丢失数据的,所以要把数据存到FL... 阅读全文
posted @ 2010-05-01 00:27 FPGA黑金开发板 阅读(3225) 评论(8) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--定时器实验(十一)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/简介 这一节,我们来讲讲有关定时器的内容。定时器,顾名思义,与时间有关系的一个器件,是用于对时钟周期进行计数并产生周期性中断信号的硬件外围设备。 用过单片机的人对定时器一定很熟悉,它主要用来处理周期性的事件,比如设置AD的采样频率,通过定时器产生周期性的定时器中等等。我发现,... 阅读全文
posted @ 2010-04-29 20:24 FPGA黑金开发板 阅读(2334) 评论(6) 推荐(1) 编辑

FPGA黑金开发板mini版新鲜出炉!!!

摘要: 功能描述:1 – EP2C5Q208C ALTERA FPGA芯片2 –64Mbit SDRAM3 –2M*8bit FLASH4 - EPCS1配置芯片5 - 20M有源晶振6 - VGA接口 - 利用显示器实现显示图片、文字7 - PS2接口 - 可以接入PS2键盘8 - 串口通信接口 - 做串口UART通讯实验9 - LCD1602液晶接口10 - DS1... 阅读全文
posted @ 2010-04-16 20:27 FPGA黑金开发板 阅读(2321) 评论(5) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--IIC总线实验(十)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/简介 这一节,我们来讲一讲有关IIC总线的实验,在硬件中,我们实用了24LC04,一个512字节的EEPROM。在NIOS II中,没有集成IIC接口,为了实现这一功能,我们有两种途径,一种就是自己写IP核或者移植别人的IP核,另一种方法就是通过IO口模拟IIC总线协议。我们... 阅读全文
posted @ 2010-04-15 10:52 FPGA黑金开发板 阅读(4704) 评论(12) 推荐(1) 编辑

用EXCEL快递锁定引脚的方法

摘要: 本博文由电子同学提供,版权归电子同学所有,本人代为转载,在此声明。                     阅读全文
posted @ 2010-04-12 23:03 FPGA黑金开发板 阅读(907) 评论(3) 推荐(0) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--程序下载(九)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 这一节,我们来讲解一下如何将编译好的程序下载到开发板中。 在开发NIOS过程中,需要下载两次程序。第一次是在quartus软件中,将我们的逻辑和软核生成的配置文件通过AS模式下载到EPCS*(*为1,4,8…)中,或者通过JTAG模式下载到FPGA内部的... 阅读全文
posted @ 2010-04-10 17:54 FPGA黑金开发板 阅读(2759) 评论(9) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--SPI实验 (八)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 这一节,我们来讲讲NIOS II中的SPI总线的用法。首先,我们来简单介绍一下SPI总线吧,SPI是英文Serial Peripheral Interface的缩写,中文意思是串行外围设备接口,是Motorola公司推出的一种同步串行通讯方式,是一种四线同步总线,因其... 阅读全文
posted @ 2010-04-06 18:16 FPGA黑金开发板 阅读(5308) 评论(10) 推荐(2) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--实时时钟 (七)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 这一节,我将给大家讲解实时时钟部分的内容,我在黑金板上用的实时时钟芯片是DS1302,这块芯片很常见,性价比也很高。我们主要来讲如何在NIOS中实现其功能,所以DS1302功能介绍我简单概括一下,有问题的百度一下就都知道了。 DS1302是DALLAS公司推出的涓流充... 阅读全文
posted @ 2010-04-04 16:00 FPGA黑金开发板 阅读(3979) 评论(7) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--串口实验(六)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介这一节,我们来说说RS232,俗称串口。大家对这东西应该很了解,没什么可说的。相对前面我们讲的内容,这一节比较复杂,我会尽力把它讲清楚。在这一节中,我不仅要给大家讲解如何去实现RS232功能,更重要的是要提出一种编程思想,如何让程序编写的更严谨,更专业,更有利于以后的维... 阅读全文
posted @ 2010-03-31 19:02 FPGA黑金开发板 阅读(5102) 评论(14) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--外部中断实验(五)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 这一节,我们通过来讲解一下NIOS II的硬件中断的内容,同时借助这节内容我们也要介绍NIOS II IDE在线调试的方法和技巧。首先来点理论知识,介绍一下与硬件中断相关的内容,让大家对NIOS II 的硬件中断有一个概括性的了解。 ISR(Interrupt Ser... 阅读全文
posted @ 2010-03-30 17:59 FPGA黑金开发板 阅读(4723) 评论(11) 推荐(1) 编辑

【连载】【FPGA黑金开发板】NIOS II那些事儿--LED实验(四)

摘要: 声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 这一节,我将给大家讲解第一个与硬件有关的程序,虽然内容简单,却极具代表性。我将采用一种寄存器的操作方式,让大家感受到开发NIOS跟单片机一样的简单,看透NIOS II开发的本质,尽量避免使用NIOS II IDE提供的API,这样做有很多好处。首先,有单片机开发经验的人很熟... 阅读全文
posted @ 2010-03-29 17:59 FPGA黑金开发板 阅读(5559) 评论(16) 推荐(0) 编辑
上一页 1 ··· 3 4 5 6 7 8 下一页