摘要: 最早的时候发现读取bram的addr必须+4而不是+1。 主要就在是否勾选“Byte Write Enable”,即是否按字节来操作。 在BRAM Controller模式下,默认勾选,PortA和PortB都是32bit位宽的,按照字节就是4个byte,所以会有we[3:0]共4个we信号。 在S 阅读全文
posted @ 2017-05-24 17:52 KevinChase 阅读(2823) 评论(0) 推荐(1) 编辑
摘要: 2017-05-24 dut的数据来自两个方面:logic逐拍产生的data_gen数据,速率快;以及来自user的固定数据,灵活度高。 将bram作为整页数据的缓冲区,供logic、dut、arm侧缓存读写。合理控制WEB、ENB、甚至是RSTB信号,来控制数据的读写方向和节奏。 向dut写数据 阅读全文
posted @ 2017-05-24 17:23 KevinChase 阅读(232) 评论(0) 推荐(0) 编辑