2012年12月20日

运行cadence dpi例子出现的问题

摘要: 做ASIC验证的童鞋们可以学学SystemVerilog DPI,它允许Verilog代码直接调用C或者C++子程序,且比Verilog PLI简单。与PLI的比较可参考如下文章:中文:http://www.moditek.com/expofile/stuart-pli-dpi.pdf英文:http://www.sutherland-hdl.com/papers/2004-SNUG-paper_Verilog_PLI_versus_SystemVerilog_DPI.pdf最近使用DPI搭了个基于NIST标准的RM以验证硬件实现后的加密模块blockcipher,import到testbenc 阅读全文

posted @ 2012-12-20 10:08 jyaray 阅读(3964) 评论(0) 推荐(0) 编辑

导航