xilinx FPGA利用can IP实现can总线通信verilog源码,直接可用,注释清晰。

vivado实现,代码7系列以上都兼容

ID:69150655348544262

posted on 2023-05-13 08:07  juma9002  阅读(313)  评论(0编辑  收藏  举报