vivado与modelsim联合仿真

写在前面:联合仿真需要版本对应,我的2020的modelsim和2020的vivado是可以用的。如果不对应,下边会编译报错。

第一步,编译仿真库文件。

  • 点击菜单栏Tools-->Compile Sim Libary,
    image

  • 第一行Simulator选择Modelsim

  • 接下来Family选择你需要的器件对应的Family。

  • Compiled library location选择你想要保存的库目录

  • 仿真器路径会自动识别,如果识别不了的参看我的路径自己修改到自己的modelsim路径。

  • GCC路径:从磁盘上偷一个GCC,我的路径供参考:C:/Xilinx/Vivado/2020.2/tps/mingw/4.4.3/win64.o/nt64/bin

  • 勾选上Compile Xilinx IP

  • 然后点击Compile

  • 等上20年,编译完了。

下一步,设置仿真。

以下步骤针对单个工程有效。

  • 点击菜单栏Tools-->Settings
  • 在左侧Project Settings选择 Simulation,把Target Simulator改为Modelsim,并且指定Modelsim的库文件为上面编译的库文件。
  • 写好Tb文件后点击Simulate就会调起Modelsim仿真。
  • 如果改了代码逻辑要重新编译,执行以下步骤:
  • 在modelsim控制台输入compile.bat,就会重新编译
  • 在modelsim控制台输入restart,重启仿真
  • 输入log -r /*可以记录所有波形
posted @ 2024-06-05 21:30  蕉太羊  阅读(64)  评论(2编辑  收藏  举报