2019年3月22日

uvm_cmdline_processor

摘要: 无意中看到uvm_cmdline_processor,之前使用+UVM_TESTNAME也没深究,现在记录一下 内部调用脚本中的参数,通过使用uvm_cmdline_processor可以从脚本层级,从外部向仿真环境传递参数 get_arg_value( string match, ref stri 阅读全文

posted @ 2019-03-22 17:02 IC新手 阅读(1747) 评论(0) 推荐(0) 编辑

导航