高云FPGA直驱HDMI显示器

HDMI 显示实现的方案同 LVDS 一样,只是 HDMI 采用自带的 DVI TX IP 实现并行 RGB 转
TMDS 协议,点亮 HDMI 接口的显示器,需要注意的是 HDMI 的并行时钟和串行时钟除以 5
实现的,此部分可以阅读代码和官方的 DVI TX IP 文档,文档有详细介绍。
硬件设计上需要注意 HDMI TX 的应用,框起来的部分需要上拉到 3.3V,LVDS 的 Bank

电压也需要是 3.3V 才行。

 

使用Verilog实现测试图的生成,使用dvi ip实现RGB转HDMI的输出

dvi_tx DVI_TX_Top_inst
(
    .I_rst_n       (hdmi4_rst_n  ),  //asynchronous reset, low active
    .I_serial_clk  (serial_clk    ),
    .I_rgb_clk     (pix_clk       ),  //pixel clock
    .I_rgb_vs      (tp0_vs_in     ),
    .I_rgb_hs      (tp0_hs_in     ),    
    .I_rgb_de      (tp0_de_in     ),
    .I_rgb_r       (tp0_data_r    ),  
    .I_rgb_g       (tp0_data_g    ),  
    .I_rgb_b       (tp0_data_b    ),  
    .O_tmds_clk_p  (O_tmds_clk_p  ),
    .O_tmds_clk_n  (O_tmds_clk_n  ),
    .O_tmds_data_p (O_tmds_data_p ),  //{r,g,b}
    .O_tmds_data_n (O_tmds_data_n )
);

测试图如下

 

 

posted @ 2024-03-03 22:05  ChinaFPGA  阅读(58)  评论(0编辑  收藏  举报