2012年3月30日

摘要: 分频器在FPGA/CPLD设计中是不可缺少的一部分,这就包括分频系数是奇数和偶数的(我们称为奇分频和偶分频),而对于偶分频来说还有不同的分频方法,下面将给出具体的方法:1、占空比不为50%的偶分频占空比:指在一个周期内高低电平持续的时间不等。library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; --定义库文件entity ofp is --定义实体名为ofpport( clk:in std_logic; clk_fp:out std_logi 阅读全文
posted @ 2012-03-30 11:02 My小菜一碟 阅读(534) 评论(0) 推荐(0) 编辑

导航