quartus ii快速写入管脚分配方法

1.创建.tcl文件

set_location_assignment PIN_92 -to XD[4]
set_location_assignment PIN_47 -to XD[3]
set_location_assignment PIN_48 -to XD[2]
set_location_assignment PIN_70 -to XD[1]

根据自己的引脚分配修改<>中内容即可,set_location_assignment <PIN_XX> -to

2.导入tcl文件


posted @ 2023-12-21 09:50  isyf  阅读(62)  评论(0编辑  收藏  举报