摘要: 在学习SystemVerilog读取文件时,练习读取自身的代码,代码如下: 1 module Test; 2 int file; 3 4 initial 5 begin 6 string s; 7 file = $fopen("TestFile.sv", "r"); 8 while(!$feof(f 阅读全文
posted @ 2023-06-20 21:57 颜秋哥 阅读(581) 评论(0) 推荐(0) 编辑