摘要: 结合《SystemVerilog验证测试平台编写指南》和《FPGA应用开发和仿真》,在gVim里敲代码,学习一下接口的用法。 1 interface membus 2 #( 3 parameter LEN = 256, DW = 8 4 ) 5 ( 6 input wire clk, 7 input 阅读全文
posted @ 2023-06-14 21:46 颜秋哥 阅读(64) 评论(0) 推荐(0) 编辑