摘要: 《SystemVerilog验证测试平台编写指南》,刚刚学完队列和结构体,就想练习一下。 1 module TestStruct; 2 typedef struct packed 3 { 4 bit [7:0] addr; 5 bit [7:0] pr; 6 bit [15:0] data; 7 } 阅读全文
posted @ 2023-06-13 22:32 颜秋哥 阅读(176) 评论(0) 推荐(0) 编辑