摘要: rt,什么是向量部分选择呢?verilog-2001 LRM中有这么一句话:对于a[8*i+:8],this is the so-called "Indexed vector part selects" 。在Verilog-1995中,可以选择向量的任一位输出,也可以选择向量的连续几位输出,不过此时连续几位的始末数值的index需要是常量。vect[msb_expr : lsb_expr]; //其中msb_expr和lsb_expr必须是常量表达式。而在Verilog-2001中,可以用变量作为index,进行part select。[base_expr +: width 阅读全文
posted @ 2012-02-20 10:57 inet2012 阅读(373) 评论(0) 推荐(0) 编辑