摘要: 今年开始使用vhdl语言,发现vhdl的插件非常少,自己平时用起来很不方便。自己在使用vim的同时,不断在vimrc中增加自己想要的功能,慢慢的发现写了快1000行了,不如做成一个插件拿出来和大家分享。我觉得做一个vhdl的插件还是有必要的,平时需要进行的机械的操作还是很多,最常见的是component或者生成一个testbench文件。要是每次一点点输入,那是非常麻烦的。我找很多verilog的插件,有的功能非常强大,但是vhdl可以说是基本没有,由此可见verilog还是比vhdl应用的广泛的多。安装插件: 解压到vim的plugin文件夹中即可。下面是该插件的功能描述:1 建立一个编译库 阅读全文
posted @ 2010-11-20 17:58 楼心月 阅读(2707) 评论(0) 推荐(0) 编辑