摘要: 关注微信公众号摸鱼范式,后台回复COOKBOOK获取COOKBOOK原本和译本 PDF度盘链接 将testbench连接到DUT 概述 本节,我们主要讨论将UVM testbench连接到RTL DUT的问题。 UVM testbench对象不能直接连接到DUT信号来驱动或采样。driver和mon 阅读全文
posted @ 2021-08-23 23:20 空白MAX 阅读(471) 评论(0) 推荐(0) 编辑