摘要: 第一次运行svlib svlib是一个开源systemverilog的库,拓展了字符串和正则特性,链接是 https://github.com/recogni/svlib 最近在翻译它的文档 svlib_test.sv: module svlib_test (); import svlib_pkg: 阅读全文
posted @ 2021-04-22 19:18 空白MAX 阅读(202) 评论(0) 推荐(0) 编辑
摘要: 中文版由空白的贝塔君整理发布 第五章 字符串处理 SystemVerilog语言本身提供了许多字符串操作。然而,经验表明,内置方法不足以满足工作中的字符串处理任务,svlib提供了进一步的操作集来帮助满足这些需求。 在大多数情况下,字符串操作有两种不同的形式,用户可以自由选择更适合自己需要的形式。 阅读全文
posted @ 2021-04-22 19:17 空白MAX 阅读(233) 评论(0) 推荐(0) 编辑
摘要: 中文版首发由空白的贝塔君整理发布 第一章 关于本文档 1.1 概要 本文档是对systemverilog使用库svlib的说明和编程指导。 1.2 版本信息 版本号 日期 作者 说明 0.0 2014.02.10 J Bromley Initial release for discussion 0. 阅读全文
posted @ 2021-04-22 19:15 空白MAX 阅读(196) 评论(0) 推荐(0) 编辑