摘要: 欢迎关注个人公众号摸鱼范式 序列模三(整除3)检测器 授权转发 作者:love小酒窝 链接:https://www.cnblogs.com/lyc-seu/p/12768321.html 描述:输入口是1bit,每次进来一位数据,检查当前序列是否能整除3,能则输出1,否则输出0. 例如: 序列=1, 阅读全文
posted @ 2020-05-10 18:05 空白MAX 阅读(812) 评论(0) 推荐(0) 编辑