随笔分类 -  静态时序分析圣经翻译计划

本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 《Static Timing Analysis for Nanometer Designs:A Practical Approach》
摘要:完结撒花 独立文章请点击下面链接 静态时序分析圣经翻译计划 关注微信公众号摸鱼范式,后台回复STA获取【汉化】Static Timing Analysis for Nanometer Designs A Practical Approach PDF度盘链接 阅读全文
posted @ 2021-01-27 12:16 空白MAX 阅读(1049) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本附录将介绍标准寄生参数提取格式(SPEF),它是IEEE Std 1481标准的一部分。 C.1 基础 SPEF允许以ASCII交换格式描述设计的寄生信息(R,L和C)。用 阅读全文
posted @ 2021-01-24 21:04 空白MAX 阅读(380) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 B.4 映射示例 以下是将SDF结构映射到VHDL泛型(generic)和Verilog HDL声明(declaration)的示例。 传播延迟 从输入端口A到输出端口Y的传 阅读全文
posted @ 2021-01-22 17:09 空白MAX 阅读(184) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本附录将介绍标准延迟标注格式,并说明了如何在仿真中执行反标。 延迟格式描述了设计网表的单元延迟和互连走线延迟,无论设计是用两种主要硬件描述语言(VHDL或Verilog HD 阅读全文
posted @ 2021-01-20 15:53 空白MAX 阅读(670) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本附录将介绍1.7版本的SDC格式,此格式主要用于指定设计的时序约束。它不包含任何特定工具的命令,例如链接(link)和编译(compile)。它是一个文本文件,可以手写或由 阅读全文
posted @ 2021-01-19 21:20 空白MAX 阅读(421) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 10.9 统计静态时序分析 到目前为止介绍的静态时序分析技术是确定性的,因为分析基于的是设计中所有时序弧的固定延迟。每个时序弧的延迟都是根据工作条件以及工艺和互连模型计算得出 阅读全文
posted @ 2021-01-18 10:35 空白MAX 阅读(207) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 10.5 时钟门控检查 当一个门控信号(gating signal)可以控制逻辑单元中时钟信号(clock signal)的路径时,将会执行时钟门控检查(clock gati 阅读全文
posted @ 2021-01-17 15:45 空白MAX 阅读(203) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节将介绍特殊的STA分析,例如时间借用(time borrowing)、时钟门控(clock gating)和非时序(non-sequential)检查。此外,还介绍了高 阅读全文
posted @ 2021-01-15 20:26 空白MAX 阅读(301) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 9.3 DDR SDRAM接口 DDR SDRAM接口可以看作是上一节中所介绍的SRAM接口的一种扩展。就像SRAM接口一样,有两条主要的总线,图9-9说明了DUA和SDRA 阅读全文
posted @ 2021-01-14 15:37 空白MAX 阅读(186) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节将介绍各种类型输入和输出路径的时序分析过程以及几种常用的接口,还介绍了特殊接口(例如SRAM)的时序分析和源同步接口(例如DDR SDRAM)的时序分析。 9.1 IO 阅读全文
posted @ 2021-01-12 21:12 空白MAX 阅读(251) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 8.9 举例 在本节中,我们将介绍发起和捕获时钟的不同情况,并分别说明如何执行建立时间和保持时间检查。图8-28为所举例子的示意图: 半周期路径——情况1 在此示例中,两个时 阅读全文
posted @ 2021-01-11 15:41 空白MAX 阅读(187) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 8.3 多周期路径 在某些情况下,两个触发器之间的数据路径可能需要一个以上的时钟周期才能传播通过逻辑。在这种情况下,这条组合逻辑路径会被定义为多周期路径(multicycle 阅读全文
posted @ 2021-01-11 15:18 空白MAX 阅读(317) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节将介绍静态时序分析所执行的一部分检查,这些检查旨在详尽地验证待分析设计的时序。 两项主要的检查是建立时间和保持时间检查。一旦在触发器的时钟引脚上定义了时钟,便会自动推断 阅读全文
posted @ 2020-12-30 14:26 空白MAX 阅读(359) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 7.8 设计规则检查 STA中两个常用的设计规则是最大过渡时间-max_transition和最大电容-max_capacitance。这些规则将会检查设计中的所有端口和引脚 阅读全文
posted @ 2020-12-30 14:23 空白MAX 阅读(549) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 7.4 约束输入路径 本节将介绍输入路径的约束。这里需要注意的一点是,STA无法检查不受约束的路径上的任何时序,因此需要约束所有路径以进行时序分析。在后面的章节中会介绍一些示 阅读全文
posted @ 2020-12-18 16:14 空白MAX 阅读(363) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节介绍了如何为静态时序分析配置环境。 正确的约束对于分析STA结果很重要,只有准确指定设计环境,STA分析才能够识别出设计中的所有时序问题。STA的准备工作包括设置时钟、 阅读全文
posted @ 2020-12-14 10:31 空白MAX 阅读(300) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 6.3 串扰延迟分析 6.3.1 基础 纳米设计中典型网络的电容提取包括许多相邻网络的影响,其中一些是接地电容,而其它一些则来自其它信号网络的一部分走线。接地电容和信号间电容 阅读全文
posted @ 2020-12-11 15:24 空白MAX 阅读(249) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节介绍了纳米技术中ASIC的信号完整性(signal integrity)。 在深亚微米(submicron)技术中,串扰(crosstalk)在设计的信号完整性中起着重 阅读全文
posted @ 2020-11-29 19:09 空白MAX 阅读(330) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 5.4 压摆合并 当多个压摆到达一个公共点时(例如在多输入单元的情况下)会发生什么呢?这种公共点称为压摆合并点(slew merge point)。 选择哪个压摆在压摆合并点 阅读全文
posted @ 2020-11-28 16:36 空白MAX 阅读(350) 评论(0) 推荐(0) 编辑
摘要:本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 本章节介绍了用于布局前后时序验证的基于单元(cell-based)的设计的延迟计算(delay calculation)。 前几章重点介绍了对互连线和单元库的建模,单元和互连 阅读全文
posted @ 2020-11-27 16:25 空白MAX 阅读(440) 评论(0) 推荐(0) 编辑