时间尺度`timescale

 

`timescale命令用来说明跟在该命令后面的模块的时间单位和时间精度.使用`timescale命令可以在同一个设计里包含采用了不同的时间单位的模块.例如:一个设计中包含了两个模块,其中一个模块的时间延迟单位为纳秒(ns),另一个模块的时间延迟单位为皮秒(ps).EDA工具仍然可以对这个设计进行仿真测试.

 

`timescale命令格式

 

        `  timescale<时间单位>/<时间精度>

 

 

 

这条命令中,时间单位参量是用来定义模块中仿真时间和延迟时间的基准单位.时间精度参量是用来声明该模块的仿真时间的精确程度的,该参量被用来对延迟时间值进行取整操作(仿真前),因此参量又可被称为取整精度.如果在同一个程序设计里,存在多个`timescale命令,则用最小的时间精度值来决定仿真时间单位.另外时间精度至少要和时间单位一样精确,时间精度值不能大于时间单位值.

 

posted @ 2009-10-22 16:52  冰风溪谷  阅读(1980)  评论(0编辑  收藏  举报