hxing

拒绝思维懒惰,习惯深度思考,提升认知水平。

导航

2020年8月23日

verilog调试--- $test$plusargs和$value$plusargs的用法 ---- 转载

摘要: 转自:http://blog.sina.com.cn/s/blog_7861157f0102v4tf.html VERILOG的参数可以用define和parameter的方式定义,这种方法要求我们在编译前将变量必须定义好,编译完成之后再也不能修改; 然而,有时候我们在进行仿真时,需要从外部传递参数 阅读全文

posted @ 2020-08-23 22:42 hxing 阅读(1191) 评论(0) 推荐(0) 编辑