hxing

拒绝思维懒惰,习惯深度思考,提升认知水平。

导航

2017年2月11日

各种波形文件VCD,VPD,SHM,FSDB生成的方法

摘要: 转载 http://www.cnblogs.com/zeushuang/archive/2012/11/14/2769640.html 仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value C 阅读全文

posted @ 2017-02-11 12:44 hxing 阅读(6582) 评论(0) 推荐(0) 编辑