Loading

Testbench——$readmemh和$readmemb

 这两个系统任务是用来从指定文件中读取数据到寄存器数组或者RAM、ROM中。除了可以在仿真的任何时刻被执行使用外,根据综合工具的不同,也可以用来对RAM或者ROM进行初始化(Vivado支持)。对于$readmemb和$readmemh系统任务,每个数字可以是二进制和十六进制数字。

使用格式共6种:

$readmemb("<数据文件名>",<数组名>)
$readmemb ("<数据文件名>",<数组名>,<起始地址>)
$readmemb ("<数据文件名>",<存贮器名>,<起始地址>,<结束地址>)
$readmemh("<数据文件名>",<数组名>)
$readmemh ("<数据文件名>",<数组名>,<起始地址>)
$readmemh ("<数据文件名>",<数组名>,<起始地址>,<结束地址>)

posted @ 2023-04-18 11:18  Haowen_Zhao  阅读(291)  评论(0编辑  收藏  举报