Install SSD into Disksim-4.0

Materials:

      disksim-4.0.tar.gz     http://www.pdl.cmu.edu/DiskSim/

      ssd-add-on.zip          http://research.microsoft.com/en-us/downloads/b41019e2-1d2b-44d8-b512-ba35ab814cd4/default.aspx

  Step 1. Download and unzip.

      $ tar vxfz disksim-4.0.tar.gz

      $ cd disksim-4.0

      $ unzip ../ssd-add-on.zip

  Step 2. Apply SSD add on patch.

      $ patch -p1 < ssdmodel/ssd-patch

  Step 3. Compile!

      $ make clean

      $ make

  Step4. Check if it works well.

      $ cd valid; ./runvalid

      $ chmod a+x ../ssdmodel/valid/runvalid

      $ cd ../ssdmodel/valid; ./runvalid

posted @ 2012-11-24 18:51  追心  阅读(382)  评论(0编辑  收藏  举报