ModelSim仿真

(1)出现“Fatal: SDF files require Altera primitive library”

   书上的方法是在ModelSim-SE下的使用,而我用的是ModelSim-Altera,和ModelSim-SE的使用存在差别:

    使用ModelSim-Altera,需将D:\Altera\modelsim_ae\altera\verilog\cycloneii(对应相应型号我用的是CYCLONEII)内的全部文件(编译好的库)拷贝到自己工程项目下的..\simulation\modelsim\...下。

    使用ModelSim-SE,是将D:\Altera\quartus\eda\sim_lib\cycloneii_atoms.v文件拷贝到自己工程下的..\simulation\modelsim\下和自己的TESTBENCH.v一起编译产生这些原语库

(2)ModelSim后仿真,可以使用Quartus II直接调用,这种方法比较简单。

另一种方法是在(1)../simulation/modelsim下找到生成的*.vo和*.sdo文件;(2)在Modelsim下建立工程,添加*.vo文件和testbench文件。(3)start simulation中添加(1)中的库和将SDF选项卡中添加sdo文件。

 

未完待续

posted on 2013-10-29 20:46  hunningtu  阅读(643)  评论(0编辑  收藏  举报

导航