chipscope数据导入matlab

借助matlab对chipscope采集的数据进行分析,希望对大家有用!

 

1、  首先按平时一样用chipscope对数据进行采样!不过为了方便以后导入matlab查看,这里我们建议查看采样信号要使用bus总线方式,这个不多说了,应该都会的。

 

2、  点击file->export 选项,弹出一个export signals窗口,format选择ascii选项,signals to Export选择bus plot buses,core默认就行。

 

3、  点击export按钮,保存为.prn后缀文件。如username.prn.

 

4、  打开matlab软件,我们可以直接打开这个.prn文件进行编辑和查看(如果需要),也可以使用matlab命令xlLoadChipScopeData(‘username.prn’);把之前chipscope导出的bus总线数据导入为malab空间变量,并且空间变量的名字和在chipscope中bus总线对应信号线的名字一样的。呵呵,信号变量有了,以后就可以方便进行相关信号分析处理了。

 

转自:http://group.ednchina.com/GROUP_MES_14596_2101_53040.HTM

posted @ 2015-04-13 19:55  狐猴  阅读(677)  评论(0编辑  收藏  举报