摘要: packed 使用该属性对struct 或者union 类型进行定义,设定其类型的每一个变量的内存约束。当用在enum 类型 定义时,暗示了应该使用最小完整的类型(it indicates that the smallest integral type should be used)。 下面的例子中 阅读全文
posted @ 2016-05-09 23:28 匣中失乐 阅读(188) 评论(0) 推荐(0) 编辑