摘要: 这些天一直在看黑金动力的verylog HDL那些事儿,很推荐这个教程,对于那些语法已经有一些基础,但是对FPGA的运行方式,处理方法不是很了解的同学,特别推荐,下面给出我的以一个流水灯代码module riderled(CLK_50M,key_1,led); input CLK_50M,key_1;output [7:0] led;reg [22:0] cnt;always@(posedge CLK_50M or negedge key_1)beginif(!key_1)cnt<=0;//else if(cnt==21'd000000)//cnt<=0;elsecnt&l 阅读全文
posted @ 2012-10-24 23:53 huazhongwang 阅读(516) 评论(0) 推荐(0) 编辑
摘要: 刚开始学习FPGA的时候,看了bingo的从零走近FPGA的世界,深深被bingo好学的精神这幅,他是被一群大神从博客园中带出来的,鉴于此,我也想写一点东西,纪念那一个个热血的挑灯夜战调程序的夜晚,以及成功后的喜悦和没调出来的郁闷! 阅读全文
posted @ 2012-10-18 15:07 huazhongwang 阅读(137) 评论(0) 推荐(0) 编辑