摘要: 语法简述在Verilog-1995中,只有integer数据类型被转移成有符号数,而reg和wire数据类型则被转移成无符号数。由于integer类型有固定的32位宽,因此它不太灵活。在Verilog-2001中,有符号形式也被扩展到reg和wire数据类型中。新加一个关键字,signed,可以按照... 阅读全文
posted @ 2014-12-19 14:58 huangqiwei 阅读(1660) 评论(0) 推荐(0) 编辑