摘要: 参考如下链接,亲测可用。 台式机为win7 64位,用作服务器,安装java+gitblit 笔记本为win7 64位,用作客户端,安装git https://cloud.tencent.com/developer/article/1199207 记得执行installService.cmd脚本时用 阅读全文
posted @ 2021-01-10 17:22 huakaimanlin的博客 阅读(124) 评论(0) 推荐(0) 编辑
摘要: 在FIFO的输入输入位宽大于输出位宽时,Altera和Xilinx的FIFO是有区别的,特此记录下: example:FIFO输入位宽为64bit,输出位宽为32bit; Altera:bit31:0优先输出,然后为bit63:32 Xilinx: bit63:32优先输出,然后bit31:0 阅读全文
posted @ 2021-01-02 09:35 huakaimanlin的博客 阅读(1013) 评论(0) 推荐(0) 编辑
摘要: 最近新做的MPSOC板子回来了,硬件测完上电一跑傻眼了,连最基本的fsbl跑起来都没有任何打印,串口也量了硬件是通的。。。 好一通折腾发现可以在SDK下进行单步调试,但是到某个位置后就死循环了,而且默认是进入汇编的界面,更是看不懂了。Google+Xilinx官网搜索了半天后发现了不少有用的信息,参 阅读全文
posted @ 2020-10-26 10:18 huakaimanlin的博客 阅读(1346) 评论(0) 推荐(1) 编辑
摘要: Vivado:2016.4 Linux:Ubuntu16.4 ZYNQ:xc7z020 题主最近在做ZYNQ的网络接收,需求是4路百兆网输入,PS自带的两个网口肯定不够用,于是在PL侧外扩了3个百兆以太网(参见之前博文:ZYNQ Linux 下 AXI Ethernet使用记录),一切运行正常后测试 阅读全文
posted @ 2020-06-26 11:23 huakaimanlin的博客 阅读(1162) 评论(0) 推荐(1) 编辑
摘要: Notepad++安装说明 一、安装 建议安装32位的Notepad++,因为很多插件都只支持32位的,目前版本是Notepad++7.8.6 二、设置 设置显示空格 2. 设置主题 3. 设置快捷键 移除行尾空格、TAB转空格 4. 设置首选项中的制表符 三、添加插件实现代码片段自动添加 添加插件 阅读全文
posted @ 2020-06-02 13:55 huakaimanlin的博客 阅读(5659) 评论(4) 推荐(0) 编辑
摘要: Quartus16.0 最近由于使用MAX10开发,需要检查10M25DCF484I7G和10M40DCF484I7G封装是否兼容,请教FAE后总结如下: 1. 设置Migration Devices型号:依次点击Assignment->Devices->Migration Devices,选择需要 阅读全文
posted @ 2020-05-13 19:00 huakaimanlin的博客 阅读(1816) 评论(0) 推荐(0) 编辑
摘要: 最近研究光纤传输,发现对于SGMII、1000BASE-X的概念很容易模糊,网上各种查资料也不是很清楚,在此把搜集到的一些信息贴出来,以供参考。 来自Xilinx 论坛:https://forums.xilinx.com/t5/General-Technical-Discussion/Etherne 阅读全文
posted @ 2020-05-11 11:26 huakaimanlin的博客 阅读(13362) 评论(0) 推荐(0) 编辑
摘要: Modelsim10.5SE 仿真时出现大量的如下错误,Google+baidu了下发现命令行设置下就可以关闭这个打印了,记录下。 # ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result w 阅读全文
posted @ 2020-04-27 17:05 huakaimanlin的博客 阅读(1481) 评论(0) 推荐(0) 编辑
摘要: 在Quartus做时序分析的时候,有时候为了方便会用到自动生成时钟的命令 derive_pll_clocks,这样自动生成的时钟往往名字比较长,为了方便使用简单的名字你需要将这个自动生成的时钟重命名或者起个别名,于是搜到了如下链接:https://www.fpgarelated.com/showth 阅读全文
posted @ 2020-02-27 11:06 huakaimanlin的博客 阅读(650) 评论(0) 推荐(0) 编辑
摘要: 版本信息: Vivado:2016.4 Linux:Ubuntu16.4 ZYNQ:xc7z020 1. Vivado下搭建好AXI Ethernet框架后(参考xapp1082),建议现在裸机环境下创建LWIP工程测试硬件的连通性,不过LWIP有时候也偶有bug,尤其在多个AXI Ethernet 阅读全文
posted @ 2020-02-26 23:25 huakaimanlin的博客 阅读(3649) 评论(0) 推荐(0) 编辑