打造Verilog编辑利器之Notepad++安装设置

Notepad++安装说明

一、安装

  1. 建议安装32位的Notepad++,因为很多插件都只支持32位的,目前版本是Notepad++7.8.6

   

 二、设置

  1. 设置显示空格

   

   2. 设置主题

   

   3. 设置快捷键

    移除行尾空格、TAB转空格

    

    4. 设置首选项中的制表符

   

 三、添加插件实现代码片段自动添加

  1. 添加插件FingerText

   

  2. 点击插件(P),看到已经出现了Finger Text,点击里面的Toggle on/off snippetDock,界面右边出现了工作栏,点击Open Snippet Editor

  3.  代码片段的设置很简单,分3栏:
    第1栏:触发字,设置成功后打出触发字,按Tab键即可出现代码片段。
    第2栏:使用范围,默认是全局,可以不改,也可以改成:Lang:Verilog
    第3栏:代码片段,自己的代码片段填上去,末尾写上结束符<End>即可。符号$[0[]0]是设置生成该代码 片段时最后鼠标的停留位置;符号$[![]!]是设置按Tab键时的跳转位置;如果在该代码片段多处出现$[![a]!]符号,那么在该处写代码时,所有含该符号的位置,代码是一起被写上的。

   

  4. 点击工作栏的“Export All”可以保存自己设置好的所有代码片段文件

  5. 点击工作栏的“Import ftd File”可以导入代码片段文件。

   

   6. 假如已有现成的ftd文件,新安装的Notepad++可以直接导入ftd文件使用现成的FingerText数据:

  

 四、添加插件实现Verilog代码检查

  1. 添加插件NppExec

   

   2. 添加Execute命令

  安装好NppExec插件后按F6,输入

  cmd /k cd "$(CURRENT_DIRECTORY)" & vlib.exe work & vmap.exe work work & vlog.exe "$(FULL_CURRENT_PATH)" & ECHO. & EXIT

  

    点击OK后会检查当前文件的语法错误:

  

 

参考链接如下:

--------------------------------------------------------------------------------------

  作者:咸鱼FPGA
  本文版权归作者所有,如需转载请保留此段声明。

 

posted @ 2020-06-02 13:55  huakaimanlin的博客  阅读(5658)  评论(4编辑  收藏  举报