# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).

Modelsim10.5SE 仿真时出现大量的如下错误,Google+baidu了下发现命令行设置下就可以关闭这个打印了,记录下。

 

# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
# Time: 22315 ns Iteration: 7 Instance: /tb_top/RingHUB
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
# Time: 22335 ns Iteration: 7 Instance: /tb_top/RingHUB
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
# Time: 22355 ns Iteration: 7 Instance: /tb_top/RingHUB

 

命令如下:

vsim xxxx

set StdArithNoWarnings 1
set NumericStdNoWarnings 1

run -all

posted @ 2020-04-27 17:05  huakaimanlin的博客  阅读(1480)  评论(0编辑  收藏  举报