同步复位与异步复位的区别

假设电路都是低电平复位

 

1、同步复位:  

  位的有效条件与clk的上升沿有关,当clk的上升沿采到rst_n为低的时候可复位。代码如下图所示:

 

   仿真波形如下图所示:

 

   解释:复位信号拉低后,当时钟信号上升沿到来时,输出信号才复位。

 

2、异步复位

  复位的触发条件不仅与clk的上升沿有关,还与rst_n的下降沿有关,当clk上升沿采到rst_n为低时可复位,同时当遇到rst_n下降沿时也进行复位。

  代码如下图所示:

 

    仿真波形如下图所示:

  

   解释:当clk上升沿采到rst_n为低时可复位,同时当遇到rst_n下降沿时也可进行复位。

3、补充:

  always敏感列表中的 or 可以改成半角逗号(,)。异步复位时,若使用复位的下降沿,则第一个if条件必须写成复位为0,若使用复位的上升沿,则第一个 if条件必须写成复位为1。

 

 

posted @ 2020-03-09 09:18  格桑花FPGA  阅读(5620)  评论(1编辑  收藏  举报